Real width(width) doesn't work. The new variable is in scope before the old one...
[synfig.git] / synfig-core / trunk / doc /
2005-11-01 darcomore updates
2005-03-24 darcoMore updates
2005-03-24 darcoInitial Stable Commit