Use LinkableValueNode members functions when possible in the derived valuenodes.
[synfig.git] / synfig-core / src / modules / mod_noise / valuenode_random.h
1 /* === S Y N F I G ========================================================= */
2 /*!     \file valuenode_random.h
3 **      \brief Template Header
4 **
5 **      $Id$
6 **
7 **      \legal
8 **      Copyright (c) 2002-2005 Robert B. Quattlebaum Jr., Adrian Bentley
9 **      Copyright (c) 2007 Chris Moore
10 **
11 **      This package is free software; you can redistribute it and/or
12 **      modify it under the terms of the GNU General Public License as
13 **      published by the Free Software Foundation; either version 2 of
14 **      the License, or (at your option) any later version.
15 **
16 **      This package is distributed in the hope that it will be useful,
17 **      but WITHOUT ANY WARRANTY; without even the implied warranty of
18 **      MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
19 **      General Public License for more details.
20 **      \endlegal
21 */
22 /* ========================================================================= */
23
24 /* === S T A R T =========================================================== */
25
26 #ifndef __SYNFIG_VALUENODE_INTERPOLATION_RANDOM_H
27 #define __SYNFIG_VALUENODE_INTERPOLATION_RANDOM_H
28
29 /* === H E A D E R S ======================================================= */
30
31 #include "synfig/valuenode.h"
32 #include "random_noise.h"
33
34 /* === M A C R O S ========================================================= */
35
36 /* === C L A S S E S & S T R U C T S ======================================= */
37
38 namespace synfig {
39
40 class ValueNode_Random : public LinkableValueNode
41 {
42         ValueNode::RHandle link_;
43         ValueNode::RHandle radius_;
44         ValueNode::RHandle seed_;
45         ValueNode::RHandle speed_;
46         ValueNode::RHandle smooth_;
47         ValueNode::RHandle loop_;
48
49         mutable RandomNoise random;
50         RandomNoise::SmoothType smooth;
51         ValueNode_Random(const ValueBase &value);
52
53 public:
54
55         typedef etl::handle<ValueNode_Random> Handle;
56         typedef etl::handle<const ValueNode_Random> ConstHandle;
57
58         virtual ValueBase operator()(Time t)const;
59
60         virtual ~ValueNode_Random();
61
62         virtual String get_name()const;
63         virtual String get_local_name()const;
64
65         virtual ValueNode::LooseHandle get_link_vfunc(int i)const;
66
67         virtual ValueNode* clone(const GUID& deriv_guid=GUID())const;
68
69         void randomize_seed();
70
71 protected:
72         LinkableValueNode* create_new()const;
73         virtual bool set_link_vfunc(int i,ValueNode::Handle x);
74
75 public:
76         using synfig::LinkableValueNode::get_link_vfunc;
77         using synfig::LinkableValueNode::set_link_vfunc;
78         static bool check_type(ValueBase::Type type);
79         static ValueNode_Random* create(const ValueBase &x);
80         virtual Vocab get_children_vocab_vfunc()const;
81 }; // END of class ValueNode_Random
82
83 }; // END of namespace synfig
84
85 /* === E N D =============================================================== */
86
87 #endif